基于eda技术的dpsk调制解调系统设计.doc

约10页DOC格式手机打开展开

基于eda技术的dpsk调制解调系统设计,基于eda技术的dpsk调制解调系统设计1设计目的通过本课程设计的开展,我能够掌握通信原理中数字信号的dpsk调制和解调,并能用eda技术进行编程设计并进行软件仿真。2设计要求熟悉用vhdl语言进行程序设计进行数字基带信号的dpsk调制与解调的硬件设计,要求用程序设计绝对码-相对码转换、cpsk调制、cpsk解调,相对...
编号:6-115972大小:73.50K
分类: 论文>计算机论文

内容介绍

此文档由会员 寻找宁夏的风 发布

基于EDA技术的DPSK调制解调系统设计


1设计目的
通过本课程设计的开展,我能够掌握通信原理中数字信号的DPSK调制和解调,并能用EDA技术进行编程设计并进行软件仿真。
2设计要求
熟悉用VHDL语言进行程序设计进行数字基带信号的DPSK调制与解调的硬件设计,要求用程序设计绝对码-相对码转换、cpsk调制、cpsk解调,相对码-绝对码转换,并进行dpsk调制与解调仿真。
3设计原理
DPSK(差分相移键控)调制解调通过对未调制基带信号进行绝对码-相对码转换、cpsk调制、cpsk解调,相对码-绝对码转换达成目的。输入基带信号是一串二进制数,绝对码和相对码是相移键控的基础,绝对码是以基带信号码元的电平直接表示数字信息的。如假设高电平代表“1”,低电平代表“0”,相对码是用基带信号码元的电平相对前一码元的电平有无变化来表示数字信息的,假如相对电平有跳变表示“1”,无跳变表示“0”。首先用绝对码表示未调制信号,然后进行绝对码-相对码转换,接着进行CPSK调制,利用载波的不同相位去直接传送数字信息,即与载波进行相位调制,是用数字基带信号控制载波的相位,使载波的相位发生跳变。对二进制CPSK,若用相位π代表“0”码,相位0代表“1”码,即规定数字基带信号为“ 0”码时,已调信号相对于载波的相位为π;数字基带信号为“1”码时,已调信号相对于载波相位为同相。按此规定,2CPSK信号的数学表示式(1.1)为
(1.1)
式中θ0为载波的初相位。受控载波在0、π两个相位上变化。解调时,把相对码从载波上分离恢复出来,必须要先恢复载波,然后把载波与CPSK信号进行比较,才能恢复基带信号。最后进行相对码—绝对码转换,恢复为输入的基带信号。

4 程序的设计
4.1 绝对码-相对码转换VHDL程序
--文件名:DPSKjuexiang
--功能:基于VHDL硬件描述语言,对基带信号进行绝对码到相对码的转换
library ieee;
use ieee.std_logic_arith.all;
……