vhdl毕业设计-可编程串行接口芯片.doc

约63页DOC格式手机打开展开

vhdl毕业设计-可编程串行接口芯片,4.29万字 63页包括程序代码sci内部结构框图图(a)中英文文献资料采用vhdl硬件描述语言和可编程逻辑器件cpld设计的可编程串行接口芯片可以实现数据的串行传送。它的优点就在于它是由可编程逻辑器件cpld构成的。cpld是在可编程专用集成电路(asic)的设计基础上发展起来的,...
编号:68-19294大小:233.50K
分类: 论文>通信/电子论文

内容介绍

此文档由会员 lanqiuhuo 发布

vhdl毕业设计-可编程串行接口芯片
4.29万字 63页
包括程序代码
SCI内部结构框图 图(A)
中英文文献资料

采用VHDL硬件描述语言和可编程逻辑器件CPLD设计的可编程串行接口芯片可以实现数据的串行传送。它的优点就在于它是由可编程逻辑器件CPLD构成的。CPLD是在可编程专用集成电路(ASIC)的设计基础上发展起来的,是标准的大规模集成产品,可以用于设计用户所需要的数字逻辑电路,而且便于擦除、修改,可重复编程,使用灵活。近年来,CPLD的集成度、工作速度不断提高,包含的资源越来越丰富,可实现功能越来越强大,具有静态可重复编程或在线动态重构的特性,使得硬件功能可以像软件一样通过编程来修改,不仅使设计修改变得十分方便,而且大大提高了电子系统的灵活性和通用能力,已成为当今实现电子系统集成化的重要手段。



参考文献
1、侯伯亨、 顾新编著,VHDL硬件描述语言与数字逻辑电路设计,西安电子科技大学出版社
2、李景华、杜玉远编著,可编程逻辑器件与EDA技术,东北大学出版社

附 录
程序清单
ZONGTI部分程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
......

SCI内部结构框图 图(A)
中英文文献资料