交流电动机变频调速系统.rar

RAR格式版权申诉手机打开展开

交流电动机变频调速系统,4.3万字62页附录1 基准计数器模块vhdl语言描述附录2系统电气原理图摘要最近几年,随着新型电力电子器件的不断涌现和计算机技术的飞速发展,高性能的得到了广泛的应用,他的显著的节能效果和灵活的运行方式,给人们留下了深刻的印象。本论文首先论述了变频调速的基础技术,简述了它在我...
编号:85-19499大小:418.20K
分类: 论文>电气自动化/电力论文

该文档为压缩文件,包含的文件列表如下:

内容介绍

原文档由会员 littey 发布

交流电动机变频调速系统
4.3万字 62页
附录1 基准计数器模块VHDL语言描述
附录2 系统电气原理图


摘 要
最近几年,随着新型电力电子器件的不断涌现和计算机技术的飞速发展,高性能的交流电动机变频调速系统得到了广泛的应用,他的显著的节能效果和灵活的运行方式,给人们留下了深刻的印象。
本论文首先论述了变频调速的基础技术,简述了它在我国的发展和应用以及今后在这方面应做的工作;其次对系统的主电路、控制电路、电气控制电路以及实现控制的软、硬件进行了系统地分析,并对调速系统的实施方案进行了论证。在此基础上,调速系统主电路采用了交-直-交型电路形式,并采用IGBT作为主电路的功率开关器件;根据PWM波形的生成原理,采用VHDL语言,从硬件和软件上探讨了基于CPLD,用于IGBT控制的数字化PWM波形产生器的实现方法;根据系统的设计要求,选择了转速负反馈控制,提高了系统的精度和稳定度;最后完成了相应的电气控制电路和直流电源的设计。
经相关的实验及仿真波形分析,表明该系统满足预期的设计要求。

关键词: 交流调速 变频调速 IGBT CPLD PWM



Abstract
In recent years, with the development of new power electronic component and computer technique, high performance AC Motor VVVF system has been applied extensively. More and more people are interesting in its striking effect on power-saving and flexible operating mode.
First, the basic technique of speed control by frequency variation is introduced briefly , and then the development and application in our country is summarized and we should do in future; Second, the main circuit、control circuit、electrical control circuit and software and hardware of the control device is analyzed systematically. Based on the foregoing analyses, AC—DC—AC voltage source inverter( VSI ) and IGBT devices are selected in the main circuit . According to the generating theory of PWM wave, a new method of digital PWM wave generator based on CPLD using VHDL language is discussed from the point view of hardware and software. A rotate speed negative feedback control is adopted according to the design request of the system, which improves the system’s accuracy and stabilization. At last, the design of corresponding circuit of electric control and DC power is completed.
It is proved by design experiments and simulation waves that this design accords with the expectable requirements.

Keywords:AC speed - adjusting; Variance-frequency speed - adjusting;IGBT;CPLD;PWM




目 录
第一章 前言.............................................................................................................1
1.1 交流变频调速技术的发展与研究现状 ...................................................1
1.2 变频调速技术的优点和发展方向 .....................................................2
1.3 相关技术分析 .........................................................................................4
1.4 本章小结.....................................................................................................5
第二章 系统方案论证 .........................................................................................6
2.1 系统主电路方案的确定 .........................................................................6
2.2 系统控制电路方案的确定 .....................................................................8
2.3 系统电路总体框图 ..................................................................................10
2.4 本论文所完成的任务 ..............................................................................10
2.5 本章小结 ..................................................................................................11
第三章 系统主电路设计 ......................................................................................12
3.1 主电路工作原理 ......................................................................................12
3.2 系统主电路参数设计与选择 ..................................................................15
3.3 本章小结 ..................................................................................................19
第四章 系统控制电路设计 ..................................................................................20
4.1触发控制回路设计 .............................................................................20
4.2 驱动电路设计............................................................................................30
4.3 保护电路设计 ........................................................................................32
4.4 系统所需直流电源设计 ..........................................................................41
4.5 本章小结 ..............................................................................................44
第五章 系统电气控制线路设计 ..........................................................................45
5.1 系统电气控制线路主要设计要求 ..........................................................45
5.2 电气控制单元电路设计 ..........................................................................45
5.3 系统电气控制线路原理图 ......................................................................48
5.4 本章小结 ..................................................................................................48
第六章 结论 ..........................................................................................................49
参考文献 ..................................................................................................................50
结束语 ......................................................................................................................51
附 录 ........................................................................................................................52



参 考 文 献
[1] 黄俊,王兆安编. 电力电子变流技术[M]. 北京:机械工业出版社
[2] 姜立东等编注. VHDL语言程序设计及应用[M]. 北京:邮电大学出版社


附录1
附1.1 基准计数器模块VHDL语言描述
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity counter is
......
附录2 系统电气原理图