八位硬件乘法器的设计.doc

约26页DOC格式手机打开展开

八位硬件乘法器的设计,论文标准word格式排版 26页摘 要:本文通过运用eda技术,采用vhdl语言和原理图输入法编辑文件,采用移项相加原理对八位乘法器进行了设计,经过编译、化简、分割、综合、优化、布局、布线、仿真及测试,综合后下载到epm7128slc84-15测试最终得到所要设计的八位乘法器.它能进行八位数据之间的相乘运算,并且通过数...
编号:25-261099大小:348.50K
分类: 论文>通信/电子论文

内容介绍

此文档由会员 莎士比亚 发布

论文标准WORD格式排版 26页

摘 要:本文通过运用EDA技术,采用VHDL语言和原理图输入法编辑文件,采用移项相加原理对八位乘法器进行了设计,经过编译、化简、分割、综合、优化、布局、布线、仿真及测试,综合后下载到EPM7128SLC84-15测试最终得到所要设计的八位乘法器.它能进行八位数据之间的相乘运算,并且通过数码管把结果显示出来.
关键词:电子设计自动化,硬件描述语言,乘法器

Design of eight hardware multiplication machines
Abstract: This text passes uses the usage EDA technique, the adoption VHDL language and the principle diagram input method edit a document, adopting to move an item to add principle mutually to eight multiplication machines to carry on a design, has been editted and translate,turn Chien,partition,synthesize,excellent turn,set up,cloth line,imitate really and test,
After the synthesis downloading to the EPM7128SLC84-15 board for tests,Finally obtained eight multipliers which was requested to design. It can carry on the eight-digit number according to seemultiplications the operation,and demonstrate the result through the digital tube.

Key words: EDA, HDL,multiplication machine.

目 录
摘 要……………………………………………………………………………I
Abstract…………………………………………………………………………II
第1章 绪论……………………………………………………………………2
1.1课题背景……………………………………………………………………2
1.2 Max+PlusII简介…………………………………………………………… 3
1.3设计目的……………………………………………………………………3
1.4本章小结……………………………………………………………………3
第2章 硬件乘法器的设计的方案……………………………………………4
2.1乘法器的算法原理…………………………………………………………4
2.2设计原理……………………………………………………………………5
2.3 本章小结…………………………………………………………………...6
第3章 硬件乘法器的软件实现………………………………………………7
3.1结构图………………………………………………………………………7
3.2乘法器的底层文件设计……………………………………………………7
3.3乘法器的顶层文件设计………………………………………………… .14
3.4 译码显示……………………………………………………………… …16
3.5 本章小结……………………………………………………………… …18
第4章 硬件乘法器的硬件实现……………………………………………..19
结论……………………………………………………………………………21
致谢……………………………………………………………………………22
心得体会………………………………………………………………………23
参考文献………………………………………………………………………24