用vhdl语言实现简易频率计的制作.doc

约8页DOC格式手机打开展开

用vhdl语言实现简易频率计的制作,用vhdl语言实现简易频率计的制作内容丰富,建议下载阅览。①页数 7②字数 2068③ 摘要 使用硬件描述语言vhdl来实现简易频率计的制作。系统的频率检测范围为0~9999hz,由分频器,计数器,数码管显示驱动等电路组成。通过对晶体的分频得到精确的计数时间,具有结构简单,使用方便,精确度高等优点。④关键字vhdl;频...
编号:6-35981大小:56.50K
分类: 论文>通信/电子论文

内容介绍

此文档由会员 快乐浪子 发布

用VHDL语言实现简易频率计的制作
内容丰富,建议下载阅览。

①页数 7

②字数 2068

③ 摘要
使用硬件描述语言VHDL来实现简易频率计的制作。系统的频率检测范围为0~9999Hz,由分频器,计数器,数码管显示驱动等电路组成。通过对晶体的分频得到精确的计数时间,具有结构简单,使用方便,精确度高等优点。

④关键字 VHDL;频率计;可编程逻辑器件

⑤参考文献
[1] 赵俊超. 集成电路设计VHDL教程. 北京: 北京希望电子出版社 2002.8
[2] 北京理工大学ASIC研究所. VHDL语言100例详解. 北京: 清华大学出版社 1999.12