基于cpldfpga的hdlc协议控制器的实现——发送部分.doc

  
约58页DOC格式手机打开展开

基于cpldfpga的hdlc协议控制器的实现——发送部分,基于cpld/fpga的hdlc协议控制器的实现——发送部分2.4万字 58页包括外文翻译,原创作品,已通过查重系统摘 要高级数据链路控制协议(high level data link control,hdlc)是面向比特的同步通信协议,具有差错检测能力强、传输效率高、冗余度低等特点,实际应用于各种高速数据传输系统。h...
编号:99-583522大小:6.51M
分类: 论文>通信/电子论文

内容介绍

此文档由会员 第二波打卡 发布

基于CPLD/FPGA的HDLC协议控制器的实现——发送部分

2.4万字 58页 包括外文翻译,原创作品,已通过查重系统


摘 要
高级数据链路控制协议(High Level Data link Control,HDLC)是面向比特的同步通信协议,具有差错检测能力强、传输效率高、冗余度低等特点,实际应用于各种高速数据传输系统。
HDLC的实现一般可采用ASIC器件和软件编程等。但采用ASIC器件灵活性略差;软件编程占用CPU资源多,速度慢,不易预测实时性。与此同时ALTERA公司的FPGA器件采用硬件技术处理信号,又可通过软件反复编程使用,能够兼顾灵活性与速度,并能并行处理多路信号,易于预测实时性与仿真。故在中小批量通信产品的设计中,FPGA是取代ASIC实现HDLC功能的一种合适选择。
本文对HDLC的发展和相关基础理论进行简要描述,采用Altera公司的FPGA芯片和Quartus II13.0软件,设计和制作具有自主知识产权的HDLC控制器发送部分。采用自顶向下的设计方法,建立顶层文件,根据HDLC协议控制器发送部分建立平行的底层文件,生成“7E”、CRC-16校验、插零和发送控制模块四个框图,供顶层文件直接调用。在Quartus II 13.0软件平台上使用VHDL语言实现HDLC数据帧的发送,并采用Modelsim软件仿真检验设计的准确性。


关键字:HDLC, CPLD/FPGA, CRC-16,发送控制器,Quartus II, VHDL