自动售货机(vhdl).doc

约13页DOC格式手机打开展开

自动售货机(vhdl),页数:13字数:2186设计内容本次设计的自动售货机只销售cola与pepsi两种饮料,售价均为1.5元。顾客可以由两个不同投币孔分别投入5角硬币或1元硬币。一次交易只能购买一瓶,且自动找零。只要按下取消键就会马上无条件退币。设计说明用两个按键电路代替两种币值的投币孔,以led点亮的数量显示各投币...
编号:10-63135大小:145.00K
分类: 论文>其他论文

内容介绍

此文档由会员 cnlula 发布

自动售货机(vhdl)


页数:13 字数:2186


设计内容
本次设计的自动售货机只销售cola与pepsi两种饮料,售价均为1.5元。顾客可以由两个不同投币孔分别投入5角硬币或1元硬币。一次交易只能购买一瓶,且自动找零。只要按下取消键就会马上无条件退币。

设计说明
用两个按键电路代替两种币值的投币孔,以LED点亮的数量显示各投币种类的投入数量,在以4Hz闪烁的LED来显示退币种类与数量。本设计采用1024Hz的系统时钟信号来控制所有买卖行为。

自动售货机外观示意图
自动售货机构成模块:
产生退币闪烁信号的电路模块
投入壹圆硬币的处理电路模块
投入五角硬币的处理电路模块
饮料选择处理电路模块
确认与取消处理电路模块
退币处理电路模块
出货并计算存货电路模块
实体定义了系统的输入输出端口信号,顾客由4种操作行为,即投币、选择、确定与取消。结构体定义功能模块之间整体共享的传递信号,以整合所有块的功能。这些信号将成为各个功能块外部输入或输出信号。

设计程序及注释如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigne