自动售货机vhdl程序与仿真.doc

约8页DOC格式手机打开展开

自动售货机vhdl程序与仿真,自动售货机vhdl程序与仿真页数:8 字数:758自动售货机vhdl程序与仿真(1)自动售货机vhdl程序如下:--文件名:pl_auto1.vhd。--功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。--说明:显示的钱数coin的 以5角为单位。--最后修改日期:2004.3.23。library ie...
编号:10-63197大小:199.00K
分类: 论文>其他论文

内容介绍

此文档由会员 cnlula 发布

自动售货机VHDL程序与仿真


页数:8 字数:758

自动售货机VHDL程序与仿真
(1)自动售货机VHDL程序如下:
--文件名:pl_auto1.vhd。
--功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。
--说明:显示的钱数coin的 以5角为单位。
--最后修改日期:2004.3.23。
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_auto1 is
port ( clk:in std_logic; --系统时钟
set,get,sel,finish: in std_logic; --设定、